บ้าน > ข่าว > ข่าวอุตสาหกรรม

คำอธิบายโดยสมบูรณ์เกี่ยวกับกระบวนการผลิตชิป (2/2): ตั้งแต่แผ่นเวเฟอร์ไปจนถึงการบรรจุและการทดสอบ

2024-09-18

การผลิตผลิตภัณฑ์เซมิคอนดักเตอร์แต่ละชนิดต้องใช้กระบวนการหลายร้อยขั้นตอน และกระบวนการผลิตทั้งหมดแบ่งออกเป็นแปดขั้นตอน:การประมวลผลเวเฟอร์ - ออกซิเดชัน - การพิมพ์หินด้วยแสง - การแกะสลัก - การสะสมของฟิล์มบาง - การเชื่อมต่อระหว่างกัน - การทดสอบ - บรรจุภัณฑ์.




ขั้นตอนที่ 5: การสะสมของฟิล์มบาง


Thin film deposition


ในการสร้างอุปกรณ์ขนาดเล็กภายในชิป เราจำเป็นต้องสะสมชั้นฟิล์มบางอย่างต่อเนื่อง และกำจัดส่วนที่เกินออกโดยการแกะสลัก และยังเพิ่มวัสดุบางอย่างเพื่อแยกอุปกรณ์ต่างๆ ทรานซิสเตอร์หรือเซลล์หน่วยความจำแต่ละตัวถูกสร้างขึ้นทีละขั้นตอนผ่านกระบวนการข้างต้น "ฟิล์มบาง" ที่เรากำลังพูดถึงในที่นี้หมายถึง "ฟิล์ม" ที่มีความหนาน้อยกว่า 1 ไมครอน (μm หนึ่งในล้านของเมตร) ที่ไม่สามารถผลิตโดยวิธีแปรรูปเชิงกลแบบธรรมดาได้ กระบวนการวางฟิล์มที่มีหน่วยโมเลกุลหรืออะตอมที่ต้องการบนแผ่นเวเฟอร์คือ "การสะสม"


ในการสร้างโครงสร้างเซมิคอนดักเตอร์หลายชั้น อันดับแรกเราต้องสร้างสแต็กอุปกรณ์ กล่าวคือ ซ้อนกันหลายชั้นของฟิล์มโลหะบาง (เป็นสื่อกระแสไฟฟ้า) และฟิล์มอิเล็กทริก (ฉนวน) บนพื้นผิวของเวเฟอร์ จากนั้นจึงเอาส่วนเกินออก ชิ้นส่วนผ่านกระบวนการแกะสลักซ้ำ ๆ เพื่อสร้างโครงสร้างสามมิติ เทคนิคที่สามารถใช้สำหรับกระบวนการสะสม ได้แก่ การสะสมไอสารเคมี (CVD) การสะสมของชั้นอะตอม (ALD) และการสะสมไอทางกายภาพ (PVD) และวิธีการที่ใช้เทคนิคเหล่านี้สามารถแบ่งออกเป็นการสะสมไอแห้งและเปียก


การสะสมไอสารเคมี (CVD)

ในการสะสมไอสารเคมี ก๊าซตั้งต้นจะทำปฏิกิริยาในห้องปฏิกิริยาเพื่อสร้างฟิล์มบาง ๆ ที่ติดอยู่กับพื้นผิวของแผ่นเวเฟอร์และผลพลอยได้ที่ถูกสูบออกจากห้อง การสะสมไอสารเคมีที่เสริมพลาสมาจะใช้พลาสมาเพื่อสร้างก๊าซของสารตั้งต้น วิธีนี้ช่วยลดอุณหภูมิของปฏิกิริยา ทำให้เหมาะสำหรับโครงสร้างที่ไวต่ออุณหภูมิ การใช้พลาสมายังสามารถลดจำนวนการสะสม ซึ่งมักส่งผลให้ฟิล์มมีคุณภาพสูงขึ้น


Chemical Vapor Deposition(CVD)


การสะสมของชั้นอะตอม (ALD)

การสะสมของชั้นอะตอมจะก่อให้เกิดฟิล์มบางโดยการสะสมชั้นอะตอมเพียงไม่กี่ชั้นในแต่ละครั้ง หัวใจสำคัญของวิธีนี้คือการหมุนเวียนขั้นตอนอิสระที่ดำเนินการในลำดับที่แน่นอนและรักษาการควบคุมที่ดี การเคลือบผิวแผ่นเวเฟอร์ด้วยสารตั้งต้นเป็นขั้นตอนแรก จากนั้นจึงนำก๊าซต่างๆ เข้ามาทำปฏิกิริยากับสารตั้งต้นเพื่อสร้างสารที่ต้องการบนพื้นผิวแผ่นเวเฟอร์


Atomic Layer Deposition(ALD)


การสะสมไอทางกายภาพ (PVD)

ตามชื่อที่สื่อถึง การสะสมไอทางกายภาพหมายถึงการก่อตัวของฟิล์มบางโดยวิธีทางกายภาพ การสปัตเตอร์ริ่งเป็นวิธีการสะสมไอทางกายภาพที่ใช้อาร์กอนพลาสมาในการพ่นอะตอมออกจากเป้าหมายและสะสมไว้บนพื้นผิวของแผ่นเวเฟอร์เพื่อสร้างฟิล์มบางๆ ในบางกรณี ฟิล์มที่สะสมอยู่สามารถบำบัดและปรับปรุงได้ด้วยเทคนิค เช่น การบำบัดด้วยความร้อนอัลตราไวโอเลต (UVTP)


Physical Vapor Deposition(PVD)


ขั้นตอนที่ 6: การเชื่อมต่อโครงข่าย


ค่าการนำไฟฟ้าของเซมิคอนดักเตอร์อยู่ระหว่างตัวนำและไม่ตัวนำ (เช่น ฉนวน) ซึ่งทำให้เราสามารถควบคุมการไหลของไฟฟ้าได้อย่างเต็มที่ กระบวนการพิมพ์หิน การกัด และการสะสมที่ใช้เวเฟอร์สามารถสร้างส่วนประกอบต่างๆ เช่น ทรานซิสเตอร์ได้ แต่จำเป็นต้องเชื่อมต่อเพื่อให้สามารถส่งและรับพลังงานและสัญญาณได้


โลหะใช้สำหรับเชื่อมต่อวงจรเนื่องจากค่าการนำไฟฟ้า โลหะที่ใช้สำหรับเซมิคอนดักเตอร์ต้องเป็นไปตามเงื่อนไขต่อไปนี้:


· ความต้านทานต่ำ: เนื่องจากวงจรโลหะจำเป็นต้องผ่านกระแสไฟฟ้า โลหะที่อยู่ในนั้นจึงควรมีความต้านทานต่ำ


· ความเสถียรทางอุณหเคมี: คุณสมบัติของวัสดุโลหะจะต้องไม่เปลี่ยนแปลงในระหว่างกระบวนการเชื่อมต่อโครงโลหะ


· ความน่าเชื่อถือสูง: ในขณะที่เทคโนโลยีวงจรรวมพัฒนาขึ้น วัสดุเชื่อมต่อที่เป็นโลหะแม้แต่จำนวนเล็กน้อยก็ต้องมีความทนทานเพียงพอ


· ต้นทุนการผลิต: แม้ว่าจะตรงตามเงื่อนไขสามข้อแรก แต่ต้นทุนวัสดุก็สูงเกินไปที่จะสนองความต้องการในการผลิตจำนวนมาก


กระบวนการเชื่อมต่อโครงข่ายส่วนใหญ่ใช้วัสดุสองชนิด ได้แก่ อลูมิเนียมและทองแดง


กระบวนการเชื่อมต่อโครงข่ายอลูมิเนียม

กระบวนการเชื่อมต่อโครงอะลูมิเนียมเริ่มต้นด้วยการสะสมอะลูมิเนียม การใช้แสง การสัมผัสและการพัฒนา ตามด้วยการกัดเพื่อคัดแยกอะลูมิเนียมและตัวต้านทานแสงส่วนเกินออกก่อนที่จะเข้าสู่กระบวนการออกซิเดชัน หลังจากขั้นตอนข้างต้นเสร็จสิ้น กระบวนการถ่ายภาพหิน การแกะสลัก และการสะสมจะถูกทำซ้ำจนกว่าการเชื่อมต่อโครงข่ายจะเสร็จสมบูรณ์

นอกจากการนำไฟฟ้าได้ดีเยี่ยมแล้ว อะลูมิเนียมยังง่ายต่อการพิมพ์หิน กัดกรด และสะสมตัวอีกด้วย นอกจากนี้ยังมีต้นทุนต่ำและยึดเกาะฟิล์มออกไซด์ได้ดี ข้อเสียคือกัดกร่อนได้ง่ายและมีจุดหลอมเหลวต่ำ นอกจากนี้ เพื่อป้องกันไม่ให้อลูมิเนียมทำปฏิกิริยากับซิลิคอนและทำให้เกิดปัญหาในการเชื่อมต่อ จำเป็นต้องเติมโลหะที่สะสมเพื่อแยกอลูมิเนียมออกจากแผ่นเวเฟอร์ เงินฝากนี้เรียกว่า "โลหะกั้น"


วงจรอะลูมิเนียมเกิดจากการสะสม หลังจากที่เวเฟอร์เข้าสู่ห้องสุญญากาศ ฟิล์มบาง ๆ ที่เกิดจากอนุภาคอลูมิเนียมจะเกาะติดกับเวเฟอร์ กระบวนการนี้เรียกว่า "การสะสมไอ (VD)" ซึ่งรวมถึงการสะสมไอสารเคมีและการสะสมไอทางกายภาพ


Aluminum Interconnection Process


กระบวนการเชื่อมต่อโครงข่ายทองแดง

เนื่องจากกระบวนการเซมิคอนดักเตอร์มีความซับซ้อนมากขึ้นและขนาดอุปกรณ์ลดลง ความเร็วในการเชื่อมต่อและคุณสมบัติทางไฟฟ้าของวงจรอะลูมิเนียมจึงไม่เพียงพออีกต่อไป และจำเป็นต้องมีตัวนำใหม่ที่ตรงตามข้อกำหนดด้านขนาดและราคา เหตุผลแรกที่ทองแดงสามารถแทนที่อลูมิเนียมได้ก็คือทองแดงมีความต้านทานต่ำกว่า ซึ่งช่วยให้การเชื่อมต่ออุปกรณ์เร็วขึ้น ทองแดงยังเชื่อถือได้มากกว่าเนื่องจากทนทานต่อการเคลื่อนที่ด้วยไฟฟ้าหรือการเคลื่อนที่ของไอออนของโลหะเมื่อกระแสไหลผ่านโลหะได้ดีกว่าอะลูมิเนียม


อย่างไรก็ตาม ทองแดงไม่ได้สร้างสารประกอบได้ง่าย ทำให้ยากต่อการระเหยและขจัดออกจากพื้นผิวของแผ่นเวเฟอร์ เพื่อแก้ไขปัญหานี้ แทนที่จะกัดทองแดง เราฝากและกัดวัสดุอิเล็กทริก ซึ่งสร้างลวดลายเส้นโลหะซึ่งประกอบด้วยร่องลึกและจุดผ่านเมื่อจำเป็น จากนั้นจึงเติม "ลวดลาย" ที่กล่าวมาข้างต้นด้วยทองแดงเพื่อให้เกิดการเชื่อมต่อถึงกัน กระบวนการที่เรียกว่า "damascene" .

ในขณะที่อะตอมของทองแดงยังคงแพร่กระจายเข้าไปในอิเล็กทริก ฉนวนของส่วนหลังจะลดลงและสร้างชั้นกั้นที่ปิดกั้นอะตอมของทองแดงจากการแพร่กระจายเพิ่มเติม จากนั้นชั้นเมล็ดทองแดงบาง ๆ จะถูกสร้างขึ้นบนชั้นกั้น ขั้นตอนนี้ทำให้เกิดการชุบด้วยไฟฟ้า ซึ่งเป็นการเติมทองแดงลงในรูปแบบที่มีอัตราส่วนกว้างยาวสูง หลังจากเติมแล้ว ทองแดงส่วนเกินสามารถเอาออกได้ด้วยการขัดโลหะด้วยเคมีเชิงกล (CMP) หลังจากเสร็จสิ้น สามารถสะสมฟิล์มออกไซด์ได้ และฟิล์มส่วนเกินสามารถเอาออกได้โดยกระบวนการโฟโตลิธโทกราฟีและการแกะสลัก ต้องทำซ้ำขั้นตอนข้างต้นจนกว่าการเชื่อมต่อโครงทองแดงจะเสร็จสิ้น


Challenges associated with copper interconnects


จากการเปรียบเทียบข้างต้น จะเห็นได้ว่าความแตกต่างระหว่างการเชื่อมต่อระหว่างทองแดงและการเชื่อมต่อระหว่างอะลูมิเนียมก็คือ ทองแดงส่วนเกินจะถูกกำจัดออกด้วย CMP โลหะ แทนที่จะแกะสลัก


ขั้นตอนที่ 7: การทดสอบ


เป้าหมายหลักของการทดสอบคือการตรวจสอบว่าคุณภาพของชิปเซมิคอนดักเตอร์เป็นไปตามมาตรฐานที่กำหนดหรือไม่ เพื่อขจัดผลิตภัณฑ์ที่มีข้อบกพร่องและปรับปรุงความน่าเชื่อถือของชิป นอกจากนี้ผลิตภัณฑ์ที่มีข้อบกพร่องที่ผ่านการทดสอบจะไม่เข้าสู่ขั้นตอนการบรรจุภัณฑ์ซึ่งช่วยประหยัดต้นทุนและเวลา การคัดแยกแม่พิมพ์ด้วยอิเล็กทรอนิกส์ (EDS) เป็นวิธีทดสอบเวเฟอร์


EDS เป็นกระบวนการที่ตรวจสอบคุณสมบัติทางไฟฟ้าของชิปแต่ละตัวในสถานะเวเฟอร์ และด้วยเหตุนี้จึงช่วยเพิ่มผลผลิตเซมิคอนดักเตอร์ EDS สามารถแบ่งออกได้เป็น 5 ขั้นตอน ดังนี้


01 การตรวจสอบพารามิเตอร์ทางไฟฟ้า (EPM)

EPM เป็นขั้นตอนแรกในการทดสอบชิปเซมิคอนดักเตอร์ ขั้นตอนนี้จะทดสอบอุปกรณ์แต่ละชิ้น (รวมถึงทรานซิสเตอร์ ตัวเก็บประจุ และไดโอด) ที่จำเป็นสำหรับวงจรรวมเซมิคอนดักเตอร์ เพื่อให้แน่ใจว่าพารามิเตอร์ทางไฟฟ้าเป็นไปตามมาตรฐาน หน้าที่หลักของ EPM คือการให้ข้อมูลคุณลักษณะทางไฟฟ้าที่วัดได้ ซึ่งจะนำไปใช้เพื่อปรับปรุงประสิทธิภาพของกระบวนการผลิตเซมิคอนดักเตอร์และประสิทธิภาพของผลิตภัณฑ์ (ไม่ใช่เพื่อตรวจจับผลิตภัณฑ์ที่มีข้อบกพร่อง)


02 การทดสอบอายุของเวเฟอร์

อัตราข้อบกพร่องของเซมิคอนดักเตอร์มาจากสองด้าน คือ อัตราของข้อบกพร่องจากการผลิต (สูงกว่าในระยะแรก) และอัตราของข้อบกพร่องในวงจรชีวิตทั้งหมด การทดสอบอายุของแผ่นเวเฟอร์หมายถึงการทดสอบแผ่นเวเฟอร์ภายใต้อุณหภูมิที่กำหนดและแรงดันไฟฟ้า AC/DC เพื่อค้นหาผลิตภัณฑ์ที่อาจมีข้อบกพร่องในระยะแรก กล่าวคือ เพื่อปรับปรุงความน่าเชื่อถือของผลิตภัณฑ์ขั้นสุดท้ายโดยการค้นพบข้อบกพร่องที่อาจเกิดขึ้น


03 การตรวจจับ

หลังจากการทดสอบอายุเสร็จสิ้น ชิปเซมิคอนดักเตอร์จะต้องเชื่อมต่อกับอุปกรณ์ทดสอบด้วยการ์ดโพรบ จากนั้นจึงทำการทดสอบอุณหภูมิ ความเร็ว และการเคลื่อนไหวบนเวเฟอร์เพื่อตรวจสอบฟังก์ชันเซมิคอนดักเตอร์ที่เกี่ยวข้อง โปรดดูตารางสำหรับคำอธิบายของขั้นตอนการทดสอบเฉพาะ


04 ซ่อม

การซ่อมแซมเป็นขั้นตอนการทดสอบที่สำคัญที่สุด เนื่องจากชิปที่ชำรุดบางตัวสามารถซ่อมแซมได้โดยการเปลี่ยนส่วนประกอบที่มีปัญหา


05 ดอตติ้ง

ชิปที่ไม่ผ่านการทดสอบทางไฟฟ้าได้ถูกแยกออกแล้วในขั้นตอนก่อนหน้านี้ แต่ยังต้องมีการทำเครื่องหมายเพื่อแยกแยะความแตกต่าง ในอดีต เราจำเป็นต้องทำเครื่องหมายชิปที่มีข้อบกพร่องด้วยหมึกพิเศษเพื่อให้แน่ใจว่าสามารถระบุได้ด้วยตาเปล่า แต่ตอนนี้ระบบจะจัดเรียงชิปเหล่านั้นโดยอัตโนมัติตามค่าข้อมูลการทดสอบ


ขั้นตอนที่ 8: บรรจุภัณฑ์


หลังจากผ่านกระบวนการต่างๆ ก่อนหน้านี้ เวเฟอร์จะสร้างชิปสี่เหลี่ยมที่มีขนาดเท่ากัน (หรือที่เรียกว่า "ชิปตัวเดียว") สิ่งต่อไปที่ต้องทำคือรับชิปแต่ละชิ้นโดยการตัด ชิปที่ตัดใหม่มีความเปราะบางมากและไม่สามารถแลกเปลี่ยนสัญญาณไฟฟ้าได้ จึงต้องดำเนินการแยกกัน กระบวนการนี้เป็นการบรรจุซึ่งรวมถึงการสร้างเปลือกป้องกันด้านนอกชิปเซมิคอนดักเตอร์และปล่อยให้ชิปแลกเปลี่ยนสัญญาณไฟฟ้ากับภายนอก กระบวนการบรรจุภัณฑ์ทั้งหมดแบ่งออกเป็นห้าขั้นตอน ได้แก่ การเลื่อยแผ่นเวเฟอร์ การติดชิปเดี่ยว การเชื่อมต่อ การขึ้นรูป และการทดสอบบรรจุภัณฑ์


01 การเลื่อยเวเฟอร์

เพื่อที่จะตัดชิปที่จัดเรียงอย่างหนาแน่นจำนวนนับไม่ถ้วนจากเวเฟอร์ อันดับแรกเราต้อง "บด" ด้านหลังของเวเฟอร์อย่างระมัดระวังจนกว่าความหนาจะตรงกับความต้องการของกระบวนการบรรจุภัณฑ์ หลังจากการเจียรแล้ว เราสามารถตัดตามแนวอาลักษณ์บนแผ่นเวเฟอร์ได้จนกว่าชิปเซมิคอนดักเตอร์จะแยกออกจากกัน


เทคโนโลยีการเลื่อยแผ่นเวเฟอร์มีสามประเภท: การตัดใบมีด การตัดด้วยเลเซอร์ และการตัดพลาสม่า การตัดใบมีดเป็นการใช้ใบมีดเพชรในการตัดแผ่นเวเฟอร์ ซึ่งมีแนวโน้มที่จะเกิดแรงเสียดทานและเศษซาก และทำให้แผ่นเวเฟอร์เสียหาย การตัดลูกเต๋าด้วยเลเซอร์มีความแม่นยำสูงกว่าและสามารถจัดการกับเวเฟอร์ที่มีความหนาบางหรือมีระยะห่างระหว่างบรรทัดอาลักษณ์เล็ก ๆ ได้อย่างง่ายดาย การตัดด้วยพลาสมาใช้หลักการของการแกะสลักด้วยพลาสมา ดังนั้นเทคโนโลยีนี้จึงสามารถใช้ได้แม้ว่าระยะห่างระหว่างบรรทัดของอาลักษณ์จะเล็กมากก็ตาม


02 สิ่งที่แนบมาเวเฟอร์เดี่ยว

หลังจากที่ชิปทั้งหมดถูกแยกออกจากเวเฟอร์แล้ว เราจำเป็นต้องติดชิปแต่ละตัว (เวเฟอร์เดี่ยว) เข้ากับซับสเตรต (ลีดเฟรม) หน้าที่ของซับสเตรตคือการปกป้องชิปเซมิคอนดักเตอร์และทำให้ชิปสามารถแลกเปลี่ยนสัญญาณไฟฟ้ากับวงจรภายนอกได้ สามารถใช้กาวเทปเหลวหรือแข็งเพื่อติดชิปได้


03 การเชื่อมต่อโครงข่าย

หลังจากติดชิปเข้ากับวัสดุพิมพ์แล้ว เรายังต้องเชื่อมต่อจุดสัมผัสของทั้งสองจุดเพื่อให้เกิดการแลกเปลี่ยนสัญญาณไฟฟ้า มีวิธีการเชื่อมต่อสองวิธีที่ใช้ในขั้นตอนนี้: การติดลวดโดยใช้ลวดโลหะบาง และการติดฟลิปชิปโดยใช้บล็อกทองทรงกลมหรือบล็อกดีบุก การติดลวดเป็นวิธีการแบบดั้งเดิม และเทคโนโลยีการติดฟลิปชิปสามารถเร่งการผลิตเซมิคอนดักเตอร์ได้


04 การปั้น

หลังจากเชื่อมต่อชิปเซมิคอนดักเตอร์เสร็จสิ้นแล้ว จำเป็นต้องมีกระบวนการขึ้นรูปเพื่อเพิ่มแพ็คเกจที่ด้านนอกของชิป เพื่อปกป้องวงจรรวมเซมิคอนดักเตอร์จากสภาวะภายนอก เช่น อุณหภูมิและความชื้น หลังจากสร้างแม่พิมพ์บรรจุภัณฑ์ตามต้องการแล้ว เราจะต้องใส่ชิปเซมิคอนดักเตอร์และสารประกอบการขึ้นรูปแบบอีพ็อกซี่ (EMC) ลงในแม่พิมพ์และปิดผนึก ชิปที่ปิดผนึกเป็นรูปแบบสุดท้าย


05 การทดสอบบรรจุภัณฑ์

ชิปที่มีรูปแบบสุดท้ายแล้วจะต้องผ่านการทดสอบข้อบกพร่องขั้นสุดท้ายด้วย ชิปเซมิคอนดักเตอร์สำเร็จรูปทั้งหมดที่เข้าสู่การทดสอบขั้นสุดท้ายถือเป็นชิปเซมิคอนดักเตอร์สำเร็จรูป โดยจะถูกวางไว้ในอุปกรณ์ทดสอบและกำหนดเงื่อนไขต่างๆ เช่น แรงดันไฟฟ้า อุณหภูมิ และความชื้น สำหรับการทดสอบทางไฟฟ้า การทำงาน และความเร็ว ผลลัพธ์ของการทดสอบเหล่านี้สามารถใช้เพื่อค้นหาข้อบกพร่องและปรับปรุงคุณภาพผลิตภัณฑ์และประสิทธิภาพการผลิตได้


Evolution of packaging technology

เมื่อขนาดชิปลดลงและความต้องการด้านประสิทธิภาพเพิ่มขึ้น บรรจุภัณฑ์จึงมีนวัตกรรมทางเทคโนโลยีมากมายในช่วงไม่กี่ปีที่ผ่านมา เทคโนโลยีและโซลูชันบรรจุภัณฑ์ที่มุ่งเน้นอนาคตบางส่วนประกอบด้วยการใช้การสะสมสำหรับกระบวนการแบ็คเอนด์แบบดั้งเดิม เช่น การบรรจุระดับเวเฟอร์ (WLP) กระบวนการกระแทกและเทคโนโลยีการกระจายชั้นซ้ำ (RDL) เช่นเดียวกับเทคโนโลยีการกัดและการทำความสะอาดสำหรับฟรอนต์เอนด์ การผลิตเวเฟอร์


Packaging technology evolution


บรรจุภัณฑ์ขั้นสูงคืออะไร?

บรรจุภัณฑ์แบบดั้งเดิมกำหนดให้แต่ละชิปถูกตัดออกจากเวเฟอร์และใส่ลงในแม่พิมพ์ บรรจุภัณฑ์ระดับเวเฟอร์ (WLP) เป็นเทคโนโลยีบรรจุภัณฑ์ขั้นสูงประเภทหนึ่ง ซึ่งหมายถึงการบรรจุชิปที่ยังอยู่บนเวเฟอร์โดยตรง กระบวนการของ WLP คือการบรรจุและทดสอบก่อน จากนั้นจึงแยกชิปที่ขึ้นรูปทั้งหมดออกจากเวเฟอร์ในคราวเดียว เมื่อเปรียบเทียบกับบรรจุภัณฑ์แบบเดิม ข้อดีของ WLP คือต้นทุนการผลิตที่ต่ำกว่า

บรรจุภัณฑ์ขั้นสูงสามารถแบ่งออกเป็นบรรจุภัณฑ์ 2 มิติ บรรจุภัณฑ์ 2.5 มิติ และบรรจุภัณฑ์ 3 มิติ


บรรจุภัณฑ์ 2D ขนาดเล็กลง

ดังที่ได้กล่าวไว้ข้างต้น วัตถุประสงค์หลักของกระบวนการบรรจุภัณฑ์คือการส่งสัญญาณของชิปเซมิคอนดักเตอร์ไปยังภายนอก และการกระแทกที่เกิดขึ้นบนแผ่นเวเฟอร์คือจุดสัมผัสสำหรับการส่งสัญญาณอินพุต/เอาท์พุต การกระแทกเหล่านี้แบ่งออกเป็นพัดลมเข้าและพัดลมออก รูปทรงพัดลมแบบเดิมอยู่ภายในชิป และรูปทรงพัดลมแบบหลังอยู่นอกเหนือช่วงชิป เราเรียกสัญญาณอินพุต/เอาท์พุต I/O (อินพุต/เอาท์พุต) และจำนวนอินพุต/เอาท์พุตเรียกว่าการนับ I/O การนับ I/O เป็นพื้นฐานสำคัญในการพิจารณาวิธีการบรรจุหีบห่อ หากจำนวน I/O ต่ำ จะใช้บรรจุภัณฑ์แบบพัดลม เนื่องจากขนาดชิปไม่เปลี่ยนแปลงมากนักหลังการบรรจุ กระบวนการนี้จึงเรียกว่าบรรจุภัณฑ์ขนาดชิป (CSP) หรือบรรจุภัณฑ์ขนาดชิประดับเวเฟอร์ (WLCSP) หากจำนวน I/O สูง โดยปกติจะใช้บรรจุภัณฑ์แบบกระจายออก และจำเป็นต้องมีชั้นการกระจายซ้ำ (RDL) นอกเหนือจากการกระแทกเพื่อให้สามารถกำหนดเส้นทางสัญญาณได้ นี่คือ "บรรจุภัณฑ์ระดับแผ่นเวเฟอร์แบบกระจายออก (FOWLP)"


2D packaging


บรรจุภัณฑ์ 2.5D

เทคโนโลยีบรรจุภัณฑ์ 2.5D สามารถใส่ชิปตั้งแต่สองประเภทขึ้นไปลงในแพ็คเกจเดียว ในขณะเดียวกันก็อนุญาตให้ส่งสัญญาณในทิศทางด้านข้าง ซึ่งสามารถเพิ่มขนาดและประสิทธิภาพของแพ็คเกจได้ วิธีการบรรจุภัณฑ์ 2.5D ที่ใช้กันอย่างแพร่หลายที่สุดคือการใส่ชิปหน่วยความจำและลอจิกลงในแพ็คเกจเดียวผ่านซิลิคอนอินเทอร์โพเซอร์ บรรจุภัณฑ์ 2.5D ต้องการเทคโนโลยีหลัก เช่น Through-silicon Vias (TSV), Micro Bumps และ RDL แบบละเอียด


2.5D packaging


บรรจุภัณฑ์สามมิติ

เทคโนโลยีบรรจุภัณฑ์ 3 มิติสามารถใส่ชิปตั้งแต่สองประเภทขึ้นไปลงในแพ็คเกจเดียวในขณะที่ส่งสัญญาณในแนวตั้งได้ เทคโนโลยีนี้เหมาะสำหรับชิปเซมิคอนดักเตอร์จำนวน I/O ที่มีขนาดเล็กและสูงขึ้น TSV สามารถใช้กับชิปที่มีจำนวน I/O สูง และการเชื่อมด้วยลวดสามารถใช้กับชิปที่มีจำนวน I/O ต่ำ และท้ายที่สุดจะสร้างระบบสัญญาณที่ชิปถูกจัดเรียงในแนวตั้ง เทคโนโลยีหลักที่จำเป็นสำหรับบรรจุภัณฑ์ 3 มิติ ได้แก่ TSV และเทคโนโลยี micro-bump


จนถึงขณะนี้ แปดขั้นตอนของการผลิตผลิตภัณฑ์เซมิคอนดักเตอร์ "การประมวลผลเวเฟอร์ - ออกซิเดชัน - การพิมพ์หินด้วยแสง - การแกะสลัก - การสะสมของฟิล์มบาง - การเชื่อมต่อโครงข่าย - การทดสอบ - บรรจุภัณฑ์" ได้รับการแนะนำอย่างสมบูรณ์แล้ว จาก "ทราย" ไปจนถึง "ชิป" เทคโนโลยีเซมิคอนดักเตอร์กำลังดำเนินการ "เปลี่ยนหินให้เป็นทองคำ" ในเวอร์ชันจริง



VeTek Semiconductor เป็นผู้ผลิตมืออาชีพของจีนการเคลือบแทนทาลัมคาร์ไบด์, การเคลือบซิลิคอนคาร์ไบด์, กราไฟท์พิเศษ, เซรามิกซิลิคอนคาร์ไบด์และเซรามิกเซมิคอนดักเตอร์อื่นๆ- VeTek Semiconductor มุ่งมั่นที่จะนำเสนอโซลูชันขั้นสูงสำหรับผลิตภัณฑ์ SiC Wafer ต่างๆ สำหรับอุตสาหกรรมเซมิคอนดักเตอร์


หากคุณสนใจในผลิตภัณฑ์ข้างต้น โปรดติดต่อเราโดยตรง  


ม็อบ: +86-180 6922 0752


WhatsApp: +86 180 6922 0752


อีเมล์: anny@veteksemi.com


X
We use cookies to offer you a better browsing experience, analyze site traffic and personalize content. By using this site, you agree to our use of cookies. Privacy Policy
Reject Accept